본문 바로가기

Software Development

VHDL - 개요와 역사 요약

□ VHDL의 역사(ref. Wikipedia VHDL)

   ○ 개 요
       • VHDL(VHSIC Hardware Description Languae)은 디지털 회로의 설계 자동화에 사용하는 하드웨어 기술 언어이다.
       • VHDL는 원래 미국 국방부에서 주문형 집적회로(ASIC)의 문서화에 사용하기 위해 만든 언어였다. 
         즉, 복잡한 매뉴얼로 회로의 동작 내용을 설명하는 대신, 회로의 동작 내용을 문서화하여 설명하기 위해 개발했다.
         그러나 이런 문서를 회로 디자인 과정에서 시뮬레이션에 사용하게 되었고, VHDL 파일을 읽어들여서 논리 합성을 
         한 다음 실제 회로 형태를 출력하는 기능을 덧붙이게 되었다. 오늘날에는 디지털 회로의 설계, 검증, 구현등의 
         모든 용도로 사용하고 있다.
       • VHDL은 Ada 프로그래밍 언어의 부분집합에 디지털 회로에 필수적인 시간 개념을 추가하는 방식으로 만들어졌으나,
         IEEE 표준화 작업을 거치면서 오늘날과 같은 형태와 문법을 가지게 되었다.

   ○ 역 사
       • 1986년 3월부터 VHDL을 IEEE표준으로 제정하기 위한 노력이 기울여져
       • 1987년 12월에 IEEE-1076이라는 IEEE표준 VHDL이 탄생했다.
       • 1991년에는 IEEE1076에 추가하여 설계자들로 하여금 VHDL 모델을 공유하는데 도움을 주고 또한 합성 기능의 강화를
         위해 9개로 구성된 표준 논리 레벨 MVL9('U', 'X', '0', '1', 'Z', 'W', 'L', 'H')를 정의한 IEEE1164(std_logic_1164)를 
         발표하였다.
       • 1992년에는 VHDL이 미국 정부지원 공인 HDL(FIPS Pub172)로 정해졌으며 미국 정부와 하드웨어 개발을 계약하거나 
         표현하는 경우에는 반드시 사용해야 하는 유일한 표준언어가 되었다.
       • 아울러 VHDL의 기능을 개선하기 위한 노력이 기울어져 1993년에는 VHDL1076-1987에 대한 새로운 버전인 
         IEEE1076-1993을 내놓게 되었다.

'Software Development' 카테고리의 다른 글

JAVA - FileInputStream FileReader 차이점.  (0) 2011.10.25
VHDL - 예약어, 연산자 요약  (0) 2011.03.15
Design Pattern(Java) - Iterator패턴  (0) 2010.11.26
디자인 패턴의 이해  (0) 2010.06.15
리팩토링의 중요성  (0) 2010.02.26